Définitions

Sigles

ABV

Assertion-Based Verification.
Méthodologie qui s’appuie sur les Assertions (et les Propriétés) pour améliorer et faciliter la Vérification.

FPGA

Field Programmable Gate Array. Composants électroniques standard que l’utilisateur « personnalise » en utilisant des langages HDL pour implémenter des fonctions logiques spécifiques de son choix. Un fichier de programmation permet (souvent à la mise sous tension) au composant de se configurer et d’avoir le fonctionnement voulu par le concepteur.

HDL

Hardware Description Language. Langage de Description Matérielle : qui permet de modéliser et de concevoir des circuits logiques en décrivant leur comportement. Les principaux HDL utilisés à ce jour sont : VHDL, Verilog, et SystemVerilog.

PSL

Property Specification Language. Normalisé IEEE 1850 et maintenant intégré au VHDL-2008, ce langage permet de décrire Propriétés et Assertions pour la Méthodologie de Vérification par Assertions (Assertion Based Verification). PSL est relativement simple à maîtriser (formation de 2 jours) et constitue une aide considérable pour la Vérification des circuits logiques. On trouve un équivalent à PSL à l’intérieur du langage SystemVerilog (SVA).

SOC

System On Chip. Un composant qui contient sur la même puce un (ou plusieurs) système(s) à base de microprocesseur(s) ainsi que d’autres blocs logiques. Un SoC-FPGA est une puce qui contient à la fois un/des Systèmes à base de microprocesseurs « en dur » et une partie de Logique Programmable.

SystemVerilog

SystemVerilog (IEEE std 1800) est le premier HDVL (Hardware Description & Verification Language). Il est appelé à remplacer aussi bien le Verilog (qu’il a absorbé) que le VHDL à qui il manque désormais trop de choses dans le domaine de la Vérification. C’est donc le Langage des 20 prochaines années et il est incontournable pour les projets complexes (même FPGA), pour les ASICs et pour les SOCs. SystemVerilog est le langage sur lequel est fondée la Méthodologie UVM.

UVM

Universal Verification Methodology. C’est la convergence définitive et Universelle de nombreuses Méthodologies de Vérifications propriétaires qui l’ont précédée (eRM, URM, AVM, OVM, RVM, et VMM), et la seule appelée à perdurer. Elle est construite sur la partie « Objet » (Classes) du SystemVerilog. UVM est complexe et difficile à apprendre et maîtriser.

Verilog

Le premier Langage de Description Matérielle (au même titre que le VHDL qui l’a suivi quelques années après). Normalisé IEEE 1364.

VHDL

VHDL = VHSIC Hardware Description Language. Ce Langage de Description Matérielle (normalisé IEEE 1076) issu du programme du département américain de la défense « VHSIC » (1980), était destiné au départ à décrire sans ambiguïté le comportement de ces circuits rapides. Aujourd’hui, il cède graduellement le pas au SystemVerilog mais il reste encore aujourd’hui utilisé (surtout dans la conception FPGA).

VHSIC

Very High Speed Integrated Circuits. Programme américain (département de la défense) des années 80 pour le développement de circuits logiques haute vitesse.

Revenir en haut